مقاله کامل در مورد زبان توصيف سخت افزارVHDL

مقاله کامل در مورد زبان توصيف سخت افزارVHDL

زبان توصيف سخت افزارVHDL
VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.
برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.
و اما یادگیری VHDL چه مزایایی دارد. قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.
برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت. نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد. و در عین حال کار کردی آسان دارد.
تاريخچه
نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits
HDL : Hardware Description Language
استاندارد IEEE 1076-1987
استاندارد IEEE 1076-1993
Verilog و ABEL (Advanced Boolean Equation Language )
ویژگی ها
HDLها ذاتا موازي (همروند) هستند
ترتيب دستورات مهم نيست
مبتني بر رخداد
امكان استفاده از دستورات ترتيبي را نيز دارد
اهداف اساسی
مستند سازي : نگهداری، ارائه، تبادل، استفاده مجدد
شبيه سازي : بررسی نتایج و ارزیابی
سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC
اجرا= شبیه سازی
نیازمندی ها
حداقل نیازمندی ها برای یادگیری زبان VHDL
دانستن جبر بول و آشنایی با مدارات منطقی
داشتن ابزار CAD مناسب
توصیف رفتاری و ساختاری
سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم
VHDL : امکان توصیف طرح بصورت رفتاری و ساختاری
نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است

توصیف رفتاری :
رفتار سیستم چیست؟
رابطه بین سیگنال های ورودی و خروجی
توصیف رفتاری :
انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند)
الگوریتمی Algorithmic(با استفاده از دستورات ترتیبی)
مثال ساده
مدار هشدار باز بودن کمربند و درب خودرو
Warning = Ignition_on AND (Door_open OR Seatbelt_off)
Ignition_on : سویچ در جایش قرار گرفته
Door_open : باز بودن درب خودرو
Seatbelt_off : کمربند بسته نشده
Warning : خروجی هشدار به سرنشین خودرو
توصیف ساختاری :
مجموعه ای از مولفه ها (دروازه ها)
و اتصالات بین آنها

توصیف ساختاری :
مشابه شماتیک
نزدیک به تحقق فیزیکی سیستم
ساختار كلي يك فايل VHDL
يك توصيف VHDL شامل
Entity declaration
Architecture body
تعريف entity در حقیقت معرفی سیگنالهای ورودی و خروجی است
architecture رابطه بین سیگنالهای ورودی و خروجی است
(عملكرد/ساختار)
بخش Entity
NAME_OF_ENTITY : يك شناسه اختياري
signal_names : سيگنالهاي ورودي يا خروجي
mode :یکی از موارد (in،out ،buffer ، Inout )
mode

In :سيگنال ورودي
out : سيگنال خروجي (فقط توسط یک entity دیگر قابل استفاده)
buffer : خروجي كه مي تواند در داخل entity نيز استفاده شود
Inout : سيگنا ل دوطرفه (ورودي / خروجي)
Type
نوع سيگنال را مشخص مي كند ( استاندارد يا User Defined)
Bit : مي تواند مقدار صفر يا يك داشته باشد
Bit_vector : برداري از مقادير بيتي است
Boolean : مي تواند مقدار true يا false بگيرد.
Integer : عدد صحيح
Real : عدد حقيقي
Character : هركاراكتر قابل چاپ
Time : برا ی نمايش زمان بكار مي رود.
مثال Entity
تعريف entity برای يك نيم جمع كننده

بخش Architecture
توصیف سیستم بصورت رفتاری یا ساختاری است
قالب كلي تعريف Architecture به شكل زير است

مدل رفتاري (Behavioral model)
مستقل از ساختار داخلی ارتباط بین ورودی ها و خروجی ها بصورت عملیاتی توصیف می شود .
مثال(1): AND دو ورودی
مدل رفتاري (Behavioral model)

مثال(1): XOR دو ورودی
هم روندي
يكي از خصوصيات زبانهاي توصيف سخت افزار
دستورالعملهاي انتساب سيگنال ( <= )
وقتي اجرا مي شود كه يك سيگنال در سمت راست جمله مقدارش تغييركند
تغيير در مقدار يك سيگنال را يك رخداد مي ناميم
VHDL يك زبان مبتني بر رخداد
در مثال(2) ترتيب نوشتن جملات مهم نيست. يعني مي توان جملات x و y و z را بترتيب دلخواه جابجا كرد.
زمانبندي رخداد ها

فایل : 22 صفحه

فرمت : Word

29900 تومان – خرید
محصول مفیدی برای شما بود ؟ پس به اشتراک بگذارید

دیدگاهتان را بنویسید

نشانی ایمیل شما منتشر نخواهد شد. بخش‌های موردنیاز علامت‌گذاری شده‌اند *

  • کاربر گرامی، در این وب سایت تا حد امکان سعی کرده ایم تمام مقالات را با نام پدیدآورندگان آن منتشر کنیم، لذا خواهشمندیم در صورتی که به هر دلیلی تمایلی به انتشار مقاله خود در ارتیکل فارسی را ندارید با ما در تماس باشید تا در اسرع وقت نسبت به پیگیری موضوع اقدام کنیم.

مقالات مرتبط